마카오 카지노

UPDATED. 2024-09-13 16:59 (금)
'2025년 2나노 파운드리 경쟁' 시작...삼성전자·TSMC 승자는 누구?
'2025년 2나노 파운드리 경쟁' 시작...삼성전자·TSMC 승자는 누구?
  • 노태민 기자
  • 승인 2023.06.28 11:22
  • 댓글 0
이 기사를 공유합니다

'삼성 파운드리 포럼'서 2nm 양산 로드맵 공개
2025년 모바일, 2026년 HPC, 2027년 차량반도체 양산
TSMC도 2025년 2나노 양산계획...고객사·수율확보가 승부처
최시영 삼성전자 사장이 미국 실리콘밸리에서 열린 '삼성 파운드리 포럼 2023'에서 기조연설을 하고 있다.     <사진=삼성전자>
최시영 삼성전자 사장이 미국 실리콘밸리에서 열린 '삼성 파운드리 포럼 2023'에서 기조연설을 하고 있다.     <사진=삼성전자>

오는 2025년 글로벌 파운드리 업계에 2nm 양산 경쟁이 시작된다. 포문은 삼성전자가 열었다. 삼성전자는 2nm 양산의 구체적인 로드맵을 업계에선 처음으로 제시했다. 인텔, 일본 라피더스도 2nm 양산 고삐를 죄고 있다. 파운드리 1위 TSMC도 2025년 2nm 양산을 본격화할 예정이다. 

업계에선 2nm 양산경쟁의 승부처로 수율과 고객사 확보를 꼽는다. 2nm 공정부터는 주요 파운드리 기업들이 모두 GAA(게이트올어라운드)를 적용할 예정인 가운데, 어떤 기업이 GAA 공정 수율을 안착시키느냐가 경쟁구도를 좌우할 전망이다. 

■ 삼성, 2nm 파운드리 2025년부터 양산

28일 삼성전자는 미국 실리콘밸리에서 '삼성 파운드리 포럼 2023'을 개최하고 2nm 양산 계획과 질화갈륨(GaN) 전력반도체 로드맵, 쉘퍼스트 전략 등을 발표했다. 이날 포럼 주제는 '경계를 넘어서는 혁신'으로, 인공지능(AI) 시대 최첨단 반도체 한계를 극복할 다양한 방법을 제시했다.

최시영 삼성전자 사장은 기조연설에서 "고객사들이 AI 전용 반도체 개발에 적극적으로 나서고 있다"며 "삼성전자는 AI 반도체에 최적화된 GAA 트랜지스터 기술 혁신으로 AI 기술 패러다임 변화를 주도하겠다"고 말했다. 

GAA는 공정 미세화에 따른 트랜지스터 성능 저하를 극복하고 데이터 처리 속도와 전력 효율을 높이는 차세대 반도체 핵심 기술이다.

삼성전자는 이번 포럼에서 2nm 양산 계획과 성능을 구체적으로 밝혔다. 2025년 모바일 중심으로 2nm 공정(SF2)을 양산하고, 2026년 고성능 컴퓨팅(HPC) 공정, 2027년 오토모티브 공정으로 확대한다. 최첨단 SF2 공정은 3nm 공정(SF3) 대비 성능 12%, 전력효율 25%, 면적 5% 개선이 가능하다. 또 1.4nm 공정은 계획대로 2027년 양산에 돌입한다.

삼성전자는 컨슈머, 데이터센터, 오토모티브 고객 확보를 위해 2025년 8인치 GaN 전력반도체 파운드리 서비스를 시작한다. GaN은 차세대 전력 반도체로 기존 실리콘(Si) 반도체 대비 고속 스위칭과 전력 절감이 가능하다는 장점이 있다. 지난해부터 시작된 전력반도체 기업 인피니언과의 협력도 GaN 전력 반도체 사업 진출을 위한 전략으로 풀이된다. 

삼성전자는 이날 '쉘퍼스트 전략'도 공개했다. 쉘퍼스트 전략은 클린룸을 선제적으로 건설하고, 향후 시장 수요와 연계한 탄력적 설비 투자로 안정적인 생산 능력을 확보해 고객 수요에 적극 대응하는 전략을 일컫는다.

현재 진행 중인 평택과 테일러 팹이 쉘퍼스트 전략의 대표적인 실례다. 현재 삼성전자는 시장과 고객 수요에 탄력적으로 대응하기 위해 평택과 테일러에 반도체 클린룸을 선제적으로 건설하고 있다. 2027년 클린룸 규모는 2021년 대비 7.3배 확대된다.

하반기 가동되는 한국 평택 3라인에서는 모바일 등 다양한 응용처의 파운드리 제품이 본격 생산된다. 또, 현재 건설중인 미국 테일러 1라인은 올해 하반기 완공을 목표하고 있으며, 내년 하반기 본격 가동될 전망이다.

■ 2nm 양산경쟁, 승자는 누가 될까?

업계에선 삼성전자의 로드맵 발표로 2025년 2nm 경쟁이 본격화할 것으로 전망한다. TSMC도 이미 2025년 2nm 양산계획을 내놨다. 웨이저자 TSMC CEO는 지난해 8월 연례 기술심포지엄에서 “2nm 제품이 2025년부터 양산될 것”이라고 밝혔다. 후발주자인 인텔과 일본 라피더스도 2nm 경쟁에 그 즈음 합류할 것으로 예상되기 때문이다. 인텔의 경우 2024년 2nm급, 2025년 1.8nm급 파운드리 양산 계획을 내놓은 바 있다. 일본 주요 기업들의 합작사인 라피더스는 2027년께 2nm 개발 및 양산을 한다는 계획이다. 

2nm 경쟁의 핵심은 GAA가 될 전망이다. 삼성전자에 이어 TSMC가 2nm부터 GAA 공정을 처음 적용하고, 인텔도 GAA와 같은 개념인 리본펫(RibbonFET)을 도입한다. 업계에선 3nm에 GAA 공정을 가장 빨리 도입한 삼성전자가 기술적 측면에서 우위에 설 수 있다는 관측도 나온다. 이날 2nm 공정 로드맵을 공개한 것도, 2nm 시대에는 TSMC를 추월하겠다는 위지를 강하게 표현한 것이란 해석도 있다.

이와 관련, 경계현 삼성전자 사장은 지난 4월 국내 대학 강연에서 "냉정하게 얘기하면 삼성전자의 파운드리 기술력이 TSMC에 1~2년 뒤처져 있다”며 “하지만 TSMC가 2nm 공정에 들어오는 시점부터는 삼성전자가 앞설 수 있다”고 밝힌 바 있다. GAA 공정을 먼저 도입한 삼성전자가 TSMC에 우위에 설 수 있다는 얘기다.

반면, 2nm 시대에도 TSMC의 우위가 지속될 것이란 관측도 제기된다. 대만 디지타임스는 지난 27일 "TSMC가 2나노 공정 관련, 고객사와 협상을 시작했다"고 보도했다. 선단공정 계약은 통상 3년 전부터 시작하는데, 3nm로 글로벌 주요 고객사를 대거 확보한 TSMC가 2nm 시대에서 가장 앞서가고 있다는 게 골자다. 이 신문은 이어 "3나노 GAA 세대에 진입한 삼성이나 20A, 18A 공정을 잇달아 내놓는 인텔은 공정 기술, 수율, 원스톱 서비스, 고객 신뢰도, 경제 규모 등 어느 조건을 봐도 TSMC만 못한 것이 사실"이라고 지적했다.

디일렉=노태민 기자 [email protected]
《반도체·디스플레이·배터리·자동차전장·ICT부품 분야 전문미디어 디일렉》 


관련기사

댓글삭제
삭제한 댓글은 다시 복구할 수 없습니다.
그래도 삭제하시겠습니까?
댓글 0
댓글쓰기
계정을 선택하시면 로그인·계정인증을 통해
댓글을 남기실 수 있습니다.

  • 서울특별시 강남구 논현로 515 (아승빌딩) 4F
  • 대표전화 : 02-2658-4707
  • 팩스 : 02-2659-4707
  • 청소년보호책임자 : 이수환
  • 법인명 : 주식회사 디일렉
  • 대표자 : 한주엽
  • 제호 : 디일렉
  • 등록번호 : 서울, 아05435
  • 사업자등록번호 : 327-86-01136
  • 등록일 : 2018-10-15
  • 발행일 : 2018-10-15
  • 발행인 : 한주엽
  • 편집인 : 장지영
  • 전자부품 전문 미디어 디일렉 모든 콘텐츠(영상,기사, 사진)는 저작권법의 보호를 받은바, 무단 전재와 복사, 배포 등을 금합니다.
  • Copyright © 2024 전자부품 전문 미디어 디일렉. All rights reserved. mail to [email protected]